roboforum.ru

Технический форум по робототехнике.

Светодиод и кнопка на одной ноге

Светодиод и кнопка на одной ноге

Ruslan » 18 мар 2009, 18:16

Народ, Подскажите пожалуйста, можно ли повесить на одну ногу Меги кнопку и светодиод. Что-то сам никак не могу сообразить. Пробовал параллельно, но не работает.

Re: Светодиод и кнопка на одной ноге

realsystem » 18 мар 2009, 18:29

Можно вроде, входы\выходы переключаются на ногах программно

Re: Светодиод и кнопка на одной ноге

Myp » 18 мар 2009, 19:00

ну да
быстро быстро переключать вход/выход
так чтоб на глаз не была видна пульсация

а ещё наверно можно последовательно
нажал кнопку светодиод загорелся :D

Re: Светодиод и кнопка на одной ноге

blindman » 18 мар 2009, 19:01

Можно вот так попробовать. Когда надо считать состояние кнопки - кратковременно подаем на вывод 0, потом переводим в режим входа - и сразу считываем. Если читается 1 - кнопка не нажата, иначе - нажата.
button.png
button.png (890 байт) Просмотров: 1522

Re: Светодиод и кнопка на одной ноге

avr123.nm.ru » 18 мар 2009, 19:11

blindman писал(а): кратковременно подаем на вывод 0, потом переводим в режим входа - и сразу считываем.


ИМХО после подачи "0" нужно считывать пока там "0" начнет считываться, либо паузу несколько NOP сделать для разряда конденсатора, а потом уже на вход включаться. Вобщето правильней сделать резистор еще от ноги до С , но такой чтоб схема работала.

Совсем без резистора боюсь импульс по питанию или земле будет прокалбашивать. Или конденсатор какйто типа 50-100 пФ.

Добавлено спустя 2 минуты 3 секунды:
RiO писал(а):Народ, Подскажите пожалуйста, можно ли повесить на одну ногу Меги кнопку и светодиод. Что-то сам никак не могу сообразить. Пробовал параллельно, но не работает.


Разные схемотехнические трюки собраны у меня в низу странички 9 курса - http://avr123.nm.ru/09.htm
имеются презабавные ! доложу я вам ... :D

Re: Светодиод и кнопка на одной ноге

blindman » 18 мар 2009, 19:26

avr123.nm.ru писал(а):ИМХО после подачи "0" нужно считывать пока там "0" начнет считываться, либо паузу несколько NOP сделать для разряда конденсатора, а потом уже на вход включаться.

А "кратковременно подаем на вывод 0, потом переводим в режим входа" - это не то же самое?

Re: Светодиод и кнопка на одной ноге

Victorovych » 18 мар 2009, 20:39

А может не надо конденсатора? просто чередовать вход с нолем

Re: Светодиод и кнопка на одной ноге

blindman » 18 мар 2009, 20:45

Тогда светодиод будет гореть когда нажата кнопка

Re: Светодиод и кнопка на одной ноге

Victorovych » 18 мар 2009, 20:48

ну да

Re: Светодиод и кнопка на одной ноге

Ruslan » 18 мар 2009, 20:49

blindman писал(а):Тогда светодиод будет гореть когда нажата кнопка

Для моего случая это не проблема.

А для считывания можно оставлять порт в режиме выхода или надо сначала DDR поставить в ноль?

Re: Светодиод и кнопка на одной ноге

Victorovych » 18 мар 2009, 20:53

dd ставишь в 0 и читаешь пин


Rambler\'s Top100 Mail.ru counter