roboforum.ru

Технический форум по робототехнике.

Делитель на 10 на ПЛИС Altera

Программирование микроконтроллеров AVR, PIC, ARM.
Разработка и изготовление печатных плат для модулей.

Делитель на 10 на ПЛИС Altera

Сообщение foxit » 30 янв 2009, 00:52

Доброго времени суток.

Есть высокостабильный сигнал 1 Мгц.
Из него необходимо получить 100 кГц, 10 кГц, 1 Гц, 0.1 Гц.

Я так понимаю нужно сделать делитель на 10.
Данный сабж нужно сделать на ПЛИС Altera max3000.

Помогите, в ПЛИСах не силен.
Аватара пользователя
foxit
 
Сообщения: 65
Зарегистрирован: 13 ноя 2007, 14:29
прог. языки: Си, Питон

Re: Делитель на 10 на ПЛИС Altera

Сообщение EdGull » 30 янв 2009, 01:11

чем именно помочь?
Аватара пользователя
EdGull
 
Сообщения: 10211
Зарегистрирован: 28 дек 2004, 20:33
Откуда: Тольятти
Skype: Ed_Gull
прог. языки: Bascom AVR Basic
ФИО: Гуль Эдуард Викторович

Re: Делитель на 10 на ПЛИС Altera

Сообщение foxit » 30 янв 2009, 01:14

если можно то проектом на quartus
Аватара пользователя
foxit
 
Сообщения: 65
Зарегистрирован: 13 ноя 2007, 14:29
прог. языки: Си, Питон

Re: Делитель на 10 на ПЛИС Altera

Сообщение EdGull » 30 янв 2009, 01:18

а... понятно... курсовик горит...
тогда жди... ща кворум соберем и всё быренько сделаем...
Аватара пользователя
EdGull
 
Сообщения: 10211
Зарегистрирован: 28 дек 2004, 20:33
Откуда: Тольятти
Skype: Ed_Gull
прог. языки: Bascom AVR Basic
ФИО: Гуль Эдуард Викторович

Re: Делитель на 10 на ПЛИС Altera

Сообщение foxit » 30 янв 2009, 01:31

курсовик не горит
на станции вылетел блок эталонных частот
вот и нужно сделать, а для повышения стабильности частоты было решено делать на плис
Аватара пользователя
foxit
 
Сообщения: 65
Зарегистрирован: 13 ноя 2007, 14:29
прог. языки: Си, Питон

Re: Делитель на 10 на ПЛИС Altera

Сообщение EdGull » 30 янв 2009, 01:33

а чё тот ктро решил не делает, а тебе поручил?
и какой бюджет для этого выделил?
Аватара пользователя
EdGull
 
Сообщения: 10211
Зарегистрирован: 28 дек 2004, 20:33
Откуда: Тольятти
Skype: Ed_Gull
прог. языки: Bascom AVR Basic
ФИО: Гуль Эдуард Викторович

Re: Делитель на 10 на ПЛИС Altera

Сообщение foxit » 30 янв 2009, 01:56

о бюджете речь еще не шла.
пока нужно попробовать своими силами
Аватара пользователя
foxit
 
Сообщения: 65
Зарегистрирован: 13 ноя 2007, 14:29
прог. языки: Си, Питон

Re: Делитель на 10 на ПЛИС Altera

Сообщение zubr » 30 янв 2009, 02:12

раз не курсовик - бери рассыпуху ИЕ2 и не парься.
http://www.qrz.ru/reference/kozak/ttl/ttlh48.shtml
За здравый смысл у нас принимается мнение большинства.
zubr
 
Сообщения: 431
Зарегистрирован: 20 ноя 2008, 19:31
Откуда: Чернобыль
прог. языки: асмемблер
ФИО: Валентин Семенович

Re: Делитель на 10 на ПЛИС Altera

Сообщение blindman » 30 янв 2009, 05:55

foxit писал(а):курсовик не горит
на станции вылетел блок эталонных частот
вот и нужно сделать, а для повышения стабильности частоты было решено делать на плис

А как выбор элементной базы делителя повлияет на стабильность частоты?
Проект [[Open Robotics]] - универсальные модули для построения роботов
Модули Open Robotics можно приобрести в магазине shop.roboforum.ru

Day OFF? You must be pulling my leg! Stop making humor before someone sees you, fool!

Аватара пользователя
blindman
 
Сообщения: 4130
Зарегистрирован: 29 апр 2008, 21:15
Откуда: Хабаровск
прог. языки: C,C++,Assembler,PHP,Javascript,Ruby, SPIN,Java(?)
ФИО: Андрей Юрьевич

Re: Делитель на 10 на ПЛИС Altera

Сообщение Victorovych » 30 янв 2009, 11:50

zubr +1
Аватара пользователя
Victorovych
 
Сообщения: 491
Зарегистрирован: 20 сен 2007, 11:44
Откуда: Харьков
Skype: Victorovych_FF
прог. языки: ASM
ФИО: Иван ВикторОвич


Вернуться в Микроконтроллеры

Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 20