roboforum.ru

Технический форум по робототехнике.

Светодиод и кнопка на одной ноге

Резисторы, транзисторы, конденсаторы, микросборки, чип компоненты ...
Вопросы согласования управляющих модулей с периферией.

Светодиод и кнопка на одной ноге

Сообщение Ruslan » 18 мар 2009, 18:16

Народ, Подскажите пожалуйста, можно ли повесить на одну ногу Меги кнопку и светодиод. Что-то сам никак не могу сообразить. Пробовал параллельно, но не работает.
Аватара пользователя
Ruslan
 
Сообщения: 603
Зарегистрирован: 03 июн 2007, 22:32
Откуда: Москва
ФИО: Руслан

Re: Светодиод и кнопка на одной ноге

Сообщение realsystem » 18 мар 2009, 18:29

Можно вроде, входы\выходы переключаются на ногах программно
realsystem
 
Сообщения: 629
Зарегистрирован: 01 фев 2009, 01:32
Откуда: Саратов
Skype: realsystem_stas
прог. языки: C, Bash, Perl
ФИО: Станислав

Re: Светодиод и кнопка на одной ноге

Сообщение Myp » 18 мар 2009, 19:00

ну да
быстро быстро переключать вход/выход
так чтоб на глаз не была видна пульсация

а ещё наверно можно последовательно
нажал кнопку светодиод загорелся :D
<telepathmode>На вопросы отвечает Бригадир Телепатов!</telepathmode>
Всё уже придумано до нас!
Аватара пользователя
Myp
скрытый хозяин вселенной :)
 
Сообщения: 18018
Зарегистрирован: 18 сен 2006, 12:26
Откуда: Тверь по прозвищу Дверь
прог. языки: псевдокод =) сила в алгоритме!
ФИО: глубокоуважаемый Фёдор Анатольевич

Re: Светодиод и кнопка на одной ноге

Сообщение blindman » 18 мар 2009, 19:01

Можно вот так попробовать. Когда надо считать состояние кнопки - кратковременно подаем на вывод 0, потом переводим в режим входа - и сразу считываем. Если читается 1 - кнопка не нажата, иначе - нажата.
button.png
button.png (890 байт) Просмотров: 1421
Проект [[Open Robotics]] - универсальные модули для построения роботов
Модули Open Robotics можно приобрести в магазине shop.roboforum.ru

Day OFF? You must be pulling my leg! Stop making humor before someone sees you, fool!

Аватара пользователя
blindman
 
Сообщения: 4130
Зарегистрирован: 29 апр 2008, 21:15
Откуда: Хабаровск
прог. языки: C,C++,Assembler,PHP,Javascript,Ruby, SPIN,Java(?)
ФИО: Андрей Юрьевич

Re: Светодиод и кнопка на одной ноге

Сообщение avr123.nm.ru » 18 мар 2009, 19:11

blindman писал(а): кратковременно подаем на вывод 0, потом переводим в режим входа - и сразу считываем.


ИМХО после подачи "0" нужно считывать пока там "0" начнет считываться, либо паузу несколько NOP сделать для разряда конденсатора, а потом уже на вход включаться. Вобщето правильней сделать резистор еще от ноги до С , но такой чтоб схема работала.

Совсем без резистора боюсь импульс по питанию или земле будет прокалбашивать. Или конденсатор какйто типа 50-100 пФ.

Добавлено спустя 2 минуты 3 секунды:
RiO писал(а):Народ, Подскажите пожалуйста, можно ли повесить на одну ногу Меги кнопку и светодиод. Что-то сам никак не могу сообразить. Пробовал параллельно, но не работает.


Разные схемотехнические трюки собраны у меня в низу странички 9 курса - http://avr123.nm.ru/09.htm
имеются презабавные ! доложу я вам ... :D
Аватара пользователя
avr123.nm.ru
отсылающий читать курс
 
Сообщения: 14195
Зарегистрирован: 06 ноя 2005, 04:18
Откуда: Москва
Предупреждения: -8

Re: Светодиод и кнопка на одной ноге

Сообщение blindman » 18 мар 2009, 19:26

avr123.nm.ru писал(а):ИМХО после подачи "0" нужно считывать пока там "0" начнет считываться, либо паузу несколько NOP сделать для разряда конденсатора, а потом уже на вход включаться.

А "кратковременно подаем на вывод 0, потом переводим в режим входа" - это не то же самое?
Проект [[Open Robotics]] - универсальные модули для построения роботов
Модули Open Robotics можно приобрести в магазине shop.roboforum.ru

Day OFF? You must be pulling my leg! Stop making humor before someone sees you, fool!

Аватара пользователя
blindman
 
Сообщения: 4130
Зарегистрирован: 29 апр 2008, 21:15
Откуда: Хабаровск
прог. языки: C,C++,Assembler,PHP,Javascript,Ruby, SPIN,Java(?)
ФИО: Андрей Юрьевич

Re: Светодиод и кнопка на одной ноге

Сообщение Victorovych » 18 мар 2009, 20:39

А может не надо конденсатора? просто чередовать вход с нолем
Аватара пользователя
Victorovych
 
Сообщения: 491
Зарегистрирован: 20 сен 2007, 11:44
Откуда: Харьков
Skype: Victorovych_FF
прог. языки: ASM
ФИО: Иван ВикторОвич

Re: Светодиод и кнопка на одной ноге

Сообщение blindman » 18 мар 2009, 20:45

Тогда светодиод будет гореть когда нажата кнопка
Проект [[Open Robotics]] - универсальные модули для построения роботов
Модули Open Robotics можно приобрести в магазине shop.roboforum.ru

Day OFF? You must be pulling my leg! Stop making humor before someone sees you, fool!

Аватара пользователя
blindman
 
Сообщения: 4130
Зарегистрирован: 29 апр 2008, 21:15
Откуда: Хабаровск
прог. языки: C,C++,Assembler,PHP,Javascript,Ruby, SPIN,Java(?)
ФИО: Андрей Юрьевич

Re: Светодиод и кнопка на одной ноге

Сообщение Victorovych » 18 мар 2009, 20:48

ну да
Аватара пользователя
Victorovych
 
Сообщения: 491
Зарегистрирован: 20 сен 2007, 11:44
Откуда: Харьков
Skype: Victorovych_FF
прог. языки: ASM
ФИО: Иван ВикторОвич

Re: Светодиод и кнопка на одной ноге

Сообщение Ruslan » 18 мар 2009, 20:49

blindman писал(а):Тогда светодиод будет гореть когда нажата кнопка

Для моего случая это не проблема.

А для считывания можно оставлять порт в режиме выхода или надо сначала DDR поставить в ноль?
Аватара пользователя
Ruslan
 
Сообщения: 603
Зарегистрирован: 03 июн 2007, 22:32
Откуда: Москва
ФИО: Руслан

Re: Светодиод и кнопка на одной ноге

Сообщение Victorovych » 18 мар 2009, 20:53

dd ставишь в 0 и читаешь пин
Аватара пользователя
Victorovych
 
Сообщения: 491
Зарегистрирован: 20 сен 2007, 11:44
Откуда: Харьков
Skype: Victorovych_FF
прог. языки: ASM
ФИО: Иван ВикторОвич


Вернуться в Электроника, электротехника

Кто сейчас на конференции

Сейчас этот форум просматривают: нет зарегистрированных пользователей и гости: 0

cron